Foundry
  • Verteilung des US Chips Acts: Intel bekommt am meisten, Samsung mehr als TSMC

    Die Bescheide des US Chips Acts gehen aktuell raus und offenbaren, welche Unternehmen das meiste Fördergeld einheimsen. Wie Bloomberg berichtet und bereits im Vorfeld bekannt war, wird Intel 10 Milliarden US-Dollar bekommen. Mit der Mega-Fab in Ohio hat man auch die größten und ambitioniertesten Pläne. Sollte die finale Ausbaustufe für Ohio in Angriff genommen, stünden hier sechs Module (Fab 27.1 bis Fab 27.6). Gebaut werden zunächst zwei... [mehr]


  • Prozess-Umbenennung: Samsung soll 3-nm- in 2-nm-Prozess umbenennen

    Seit ca. zehn Jahren driftet die Benennung der Prozess-Technologien mit den tatsächlichen Größen immer weiter auseinander. Das, was TSMC als N3 oder Intel als Intel 7 bezeichnen, hat keinerlei direkte Verbindung mit den Dimensionen der gefertigten Transistoren. Um hier zumindest in der Namensgebung wieder auf Niveau der Konkurrenz zu sein, entschied sich Intel Mitte 2021 zu einer Umbenennung. Nun plant offenbar auch Samsung eine Umbenennung,... [mehr]


  • Gute Auftragslage: NVIDIA nun zweitgrößter Kunde von TSMC

    NVIDIA ist im vergangenen Jahr zum zweitgrößten Kunden von TSMC aufgestiegen – dies geht aus einer Analyse von Dan Nystedt hervor. Die hohe Nachfrage nach Fertigungs- und Packaging-Kapazitäten verdankt NVIDIA dem noch immer anhaltendem KI-Boom und TSMC wird die Aufträge sicherlich dankend entgegennehmen. Für gewöhnlich zeigt sich der Taiwanesische Auftragsfertiger recht zugeknöpft, wenn es am die eigenen Kundschaft geht. Im Rahmen der... [mehr]


  • Intel 10A, Wafer- und Packaging-Kapazitäten: Der Umbau ist größer als er zunächst scheint

    Die IFS-Direct-Connect-Konferenz war für Intel eine wichtige Veranstaltung. Natürlich haben wir uns zunächst einmal auf die direkten Implikationen für die Produkte konzentriert. Aktuell liefert Intel seine Prozessoren mit einer Fertigung in Intel 4 aus, was erst der zweite Schritt in der langfristig angelegten "Fünf Nodes in vier Jahren"-Strategie ist. Wir haben Intel 3, Intel 20A und Intel 18A also erst noch vor uns. Bis wir die ersten... [mehr]


  • IFS Direct Connect: Partner setzen verstärkt auf Intel 18A

    Auch wenn Intels Foundry-Geschäft auf eine Fertigung in Intel 16, Intel 3 und Intel 18A ausgelegt, so scheinen sich Kunden und auch die Partner darauf festgelegt zu haben, dass Intel 18A der wichtige Startpunkt sein werden. Hersteller von EDA-Software wie Synopsys, Cadence und Siemens fokussieren sich auf Intel 18A. Für alle EDA-Hersteller und auch Intel selbst ist klar: Ohne eine AI-Unterstützung geht es im Design der Chips nicht... [mehr]


  • Intels Foundry-Geschäft: ARM, Microsoft und Co sind Partner und Kunde zugleich

    Nicht nur eine neue Roadmap für das Foundry-Geschäft hat Intel auf der IFS Direct Connect verkündet, sondern auch eine Umstrukturierung innerhalb des eigenen Unternehmens, die dem Rechnung tragen sollen. Aus Intel Foundry Services (IFS) wird nun nur noch Intel Foundry. Aber die Abteilungen für die Entwicklung der neuen Fertigungs- und Packaging-Technologien werden nun Bestandteil der Intel Foundry sein. Das zweite Standbein von Intel bleiben... [mehr]


  • Intel IFS Direct Connect: Intel enthüllt Intel 14A und Pläne für weiter optimierte Fertigungsprozesse

    Über die letzten Jahre hinweg wiederholte Intel immer wieder das Mantra der "Five Nodes in four Years" (5N4Y). Bis zu Intel 18A als bisher fortschrittlichste Fertigung über die Intel offiziell gesprochen hat, will Intel zurück zu einer verlässlichen Ausführung seiner Fertigung. Die zahlreichen Verzögerungen für die Fertigung in 10 nm schmerzen noch immer und haben zu zahlreichen Verzögerungen geführt. Intel 7 war bzw. ist als 10-nm-Prozess... [mehr]


  • Investorensuche für Irland-Fab: Intel sucht 2 Milliarden US-Dollar

    Laut eines Berichts von Bloomberg ist Intel aktuell auf der Suche nach Investoren, die sich an einer potentiellen Erweiterung der Fab 34 in Irland beteiligen wollen. In der Fab 34 im irischen Leixlip fertigt Intel unter anderem die Compute-Tiles für die Meteor-Lake-Prozessoren in Intel 4. Ein Funding in Höhe von 2 Milliarden US-Dollar ist in Anbetracht der Summen, die für eine neue Fab notwendig sind, vergleichsweise wenig. Denkbar ist also,... [mehr]


  • Foundry-News: Intels Ohio-Fab noch immer Ende 2025 fertig, TSMC weitet JV in Japan aus

    In den vergangenen Tagen gab es einige Meldungen rund um die geplanten Fabriken der großen Halbleiterhersteller. Im Rahmen der Bekanntgabe der Quartalszahlen äußerte sich Intel zur aktuellen Entwicklung der Mega-Fab in Ohio, die analog zur Fab in Magdeburg große Fertigungskapazitäten zur Verfügung stellen soll und auf die mordernsten Fertigungsverfahren geht. Für Ende 2025 ist die Fertigstellung der Ohio-Fab geplant und dabei soll es auch... [mehr]


  • OpenAI: AI-Riese auf der Suche nach Chips und Fabs

    Seit einigen Tagen rollen Berichte durch das Internet, nach denen OpenAI aktuell untersucht, ob der Aufbau eines eigenen Chipdesign-Abteilung oder gar eigener Fabs für die Fertigung dieser Chips, möglich ist. Zitiert werden mehrere Berichte, nach denen sich Sam Altman, der wieder eingesetzte CEO von OpenAI, am Wochenende unter anderem in Südkorea mit dem CEO von Samsungs Foundry-Sparte Kyung Kye-hyun getroffen haben soll. Auch den... [mehr]


  • Vereinbarung im Halbleitermarkt: Intel und UMC wollen zusammenarbeiten

    Intel und United Microelectronics Corporation oder kurz UMC aus Taiwan haben eine Vereinbarung getroffen, nach der unter anderem ein neuer 12-nm-Prozess entwickelt werden soll, der auf den wachsenden Markt im Bereich der Mobile-Chip, Kommunikationsinfrastruktur und Networking abzielt. Intel wird die Fertigungskapazitäten in den USA bereitstellen, während UMC seine Erfahrungen im Foundry-Geschäft und der Fertigung in diesen als... [mehr]


  • TSMC: Vorbereitungen für die 1-nm-Produktion laufen an

    Der Halbleitergigant TSMC hat damit begonnen, sich auf die 1-nm-Produktion vorzubereiten. Gleichzeitig beginnt das Unternehmen mit der Planung einer neuen hochmodernen Produktionsstätte in Taiwan. Als erster Hersteller für Halbleiter in der Welt, will sich die taiwanesische Chip-Schmiede an die in der Branche magische 1-nm-Grenze heran wagen. Dabei kommen auf den Konzern laut Branchenexperten schätzungsweise Gesamtentwicklungskosten von... [mehr]


  • Mega-Fab in Magdeburg: Intel will in Deutschland modernste Fertigung starten

    Auf dem Weltwirtschaftsforum in Davos sprach Intels CEO Pat Gelsinger über die Zukunftspläne Intels. In Europa will Intel in den kommenden Jahren in mehreren Ländern Milliarden US-Dollar investieren – natürlich immer mit Unterstützung der Politik in Form von Subventionen. Flaggschiff soll ein Fab-Megaprojekt in Magdeburg werden, wo 30 Milliarden Euro ausgegeben werden sollen. Noch völlig unklar ist, welchen Fertigungsprozess Intel in Magdeburg... [mehr]


  • 465 Milliarden US-Dollar Investition: Südkorea plant riesiges Chip-Cluster

    Immer wieder berichten wir über Investitionen in Milliardenhöhe, die aktuell im Halbleiterbereich weltweit getätigt werden. US Chips Act, EU Chips Act – Länder, Regionen und anderen Zusammenschlüsse legen ihre Geldtöpfe zusammen. In Südkorea plant man in anderen Dimensionen. Bis 2047 soll hier ein riesiges Halbleiter-Megacluster entstehen, für das 622 Billionen Won, also etwa 465 Milliarden US-Dollar investiert werden sollen. Dies... [mehr]


  • Doch nicht aus China: Huawei lässt Soc in 5 nm bei TSMC fertigen

    Es ist derzeit ein strittiges Thema und trotz vieler Handelsbeschränkungen gelingt es China aktuell immer mehr Chips selbst zu fertigen und erreicht dabei auch Strukturgrößen, die man ihnen zum aktuellen Zeitpunkt noch nicht zugetraut hätte. So lässt Huawei den Kirin 9000S im Mate 60 Pro durch SMIC (Semiconductor Manufacturing International Corporation) in China fertigen. Verwendet wird ein 7-nm-Prozess. Die verwendeten DUV-Systeme (Deep... [mehr]


  • IFS Direct Connect: Intel wird die weiteren Fertigungspläne offenlegen

    Am 21. Februar wird Intel in San Jose die IFS Direct Connect veranstalten. Dabei wird es sich um eine Konferenz handeln, in der Intel einerseits seine Strategie für die Intel Foundry Services (IFS) weiter ausführen und womöglich weitere Kunden und Partnerschaften verkünden wird. Neben Intel werden Unternehmen wie Synopsys, Cadence, Siemens, Ansys vor Ort sein. Einen der Vorträge wird Dr. Ann Kelleher halten, die Leiterin der... [mehr]


  • 3-nm-Prozess: Samsung soll bessere Ausbeute als TSMC erreichen

    Wohl unangefochten bietet TSMC aktuell das beste Angebot an modernster Fertigung und dazugehörigem Packaging. Nicht ohne Grund bestellen namhafte und bisher unbekannte Hersteller zumeist ihre Chips genau hier. HPC- und AI-Beschleuniger, aber auch die aktuellen GPUs kommen zu 90 % aus den Werken bei TSMC. Doch mit den immer kleineren Strukturgrößen der Transistoren kann auch ein Branchenprimus so seine Probleme bekommen und genau das soll bei... [mehr]


  • Chipfertigung: Unabhängigkeit wird zwei Jahrzehnte brauchen

    Eine Hauptmotivation hinter dem Aufbau einer Chipfertigung in den USA und Europa ist, dass sich die Unternehmen unabhängiger von Asien und hier im speziellen Taiwan machen wollen. Der gesamte US und EU Chips Act in Form der Fördergelder beruht auf einer geplanten Unabhängigkeit der westlichen Regionen. Dass dies aber nicht mit dem Aufbau milliardenschwerer Fabriken getan ist, dürfte nur die wenigsten überraschen. Die Lieferketten sind... [mehr]


  • Neue Building-Sandbox: Paradox will mit Foundry einen Factorio-Konkurrenten veröffentlichen

    Für Fans von perfektionierten Produktionsabläufen ist Factorio ein absolutes Must-Have. Das Spiel erschien bereits 2013 in der Alpha und wurde schließlich 2020 veröffentlicht, nachdem es zuvor 4 Jahre im Early Access war. Paradox hat nun beschlossen, eine eigene Interpretation der Fabrikspiele zu produzieren. Am bekanntesten ist der Publisher wohl für Cities: Skylines. Das Simulations- und Aufbaugenre ist also kein Neuland für Paradox... [mehr].


  • AI-Chiplets der nächsten Generation: Tenstorrent wählt Samsungs SF4X-Prozess

    Lange konnte Samsung keine größeren Erfolge oder Neukunden für das eigene Foundry-Geschäft mehr verkünden. Verzögerungen und eine schlechte Ausbeute haben die potentielle Kundschaft wohl abgeschreckt und davon hat nicht zuletzt auch TSMC profitiert, wo quasi alle modernen Chips und Packages gefertigt werden – zumindest alles was nicht direkt von Intel stammt und dort auch gefertigt wird. Nun verkündet Tenstorrent, dass man Samsungs... [mehr]


  • Für Meteor Lake: Intel eröffnet Fab 34 im irischen Leixlip

    Nach Monaten des Baus und der Bestückung mit entsprechenden Maschinen wird die Fab 34 im irischen Leixlip heute offiziell eröffnet. In der Fab 34 gefertigt werden die ersten Chips in Intel 4, der aktuell modernsten Fertigung von Intel, die unter anderem den Compute-Tile der Meteor-Lake-Prozessoren bereitstellen sollen. Das High-Volume Manufacturing (HVM) dieser Chiplets ist auch der eigentliche Punkt in der Eröffnung, denn für Intel soll... [mehr]


  • EUV-Masken-Hersteller: Intel verkauft Teile seines Tafelsilbers

    Nachdem es bei Intel in den vergangenen Tagen einzig und alleine um Milliarden-Investitionen und damit das Ausgaben von Geld ging, folgte heute eine Meldung, die wieder etwas Geld in die Kassen spülen soll. Intel verkauft 20 % seiner Anteile am österreichischen Masken-Hersteller IMS Nanofabrication GmbH. Käufer ist Bain Capital, ein US-Finanzinvestor, der in den verschiedenen Bereichen investiert und sich dabei möglichst breit aufstellt... [mehr].


  • Neuer Foundry-Kunde: intel und Tower Semiconductor mit Vereinbarung

    Nach der gescheiterten Übernahme von Tower Semiconductor durch Intel verkünden beide Unternehmen heute eine Zusammenarbeit. Tower Semi wird bis zu 300 Millionen US-Dollar investieren und installiert dazu eigenes Equipment in Intels Packaging-Werk (Fab 11X) in Rio Rancho im US-Bundesstaat New Mexico. Darüber hinaus bucht Tower Semi gewisse Kapazitäten, die mit 600.000 Photo Layers pro Monat beschrieben werden. Intel wird unter anderem... [mehr]


  • Rückschlag für IFS 2.0: Übernahme von Tower Semiconductor durch Intel gescheitert

    Die ursprünglich für den 15. Februar – und damit ein Jahr nach der Ankündigung – geplante Übernahme von Tower Semiconductor durch Intel ist nun offiziell angesagt worden. Die Wettbewerbsbehörden waren letztendlich eine zu große Hürde. Durch die Terminierung der Übernahme muss Intel nun 353 Millionen US-Dollar an das israelische Unternehmen zahlen – ohne dafür eine Gegenleistung zu bekommen. Das Gesamtvolumen der Übernahme sollte sich auf... [mehr]


  • Samsung 3GAE: Die ersten MBCFET stecken in Mining-ASICs

    In den vergangenen Tagen machte Samsung in Hinblick auf seine modernsten Fertigungsverfahren auf sich aufmerksam. In den vergangenen Jahren war die Berichterstattung in dieser Hinsicht eher von Negativschlagzeilen geprägt: Verzögerungen, schlechte Ausbeute, das Wegfallen vieler Großkunden. Nun scheint es jedoch in die andere Richtung zu gehen. Zunächst einmal vermeldeten verschiedene Branchen-Magazine (Kmib.co.kr und DigiTimes),... [mehr]


  • Samsung Foundry Update: 2 nm ab 2025 und 1,4 nm ab 2027

    Im Rahmen des Samsung Foundry Forum (SFF) 2023 hat der südkoreanische Hersteller ein Update seines Fahrplans für die zukünftigen Fertigungsprozesse gegeben. Offenbar will man seinem taiwanischen Konkurrenten TSMC wieder größere Marktanteile bei den AI-Chips abknöpfen. NVIDIA lässt seine A100- und H100-Beschleuniger bei TSMC fertigen und auch der Gaudi 2 der HabanaLabs sowie fast sämtliche ARM-basierten Prozessoren werden aktuell bei TSMC... [mehr]


  • Sicherung der Lieferkette: Fotolackhersteller in Japan wird verstaatlicht

    Nicht erst mit Beginn des Angriffskrieges Russlands gegen die Ukraine oder der Corona-Pandemie ist sich vielen Unternehmen und Privatleuten bewusst geworden, wie fragil unsere Lieferketten sind. Dem entgegenzuwirken, haben sich viele Staaten und Unternehmen auf die Fahnen geschrieben, doch während die Unternehmen hier meist deutlich flexibler in ihrer Umsetzung dessen sind, dauert es bei Staatlichen Unternehmungen meist etwas länger. In Japan... [mehr]


  • IDM 2.0: Intel wird selbst zum eigenen Kunden

    Über Jahre, gar Jahrzehnte war Intel ein führender Halbleiterhersteller und in vielen Bereichen ist man dies auch nachwievor. Aber die Spitzenposition im Rennen um immer kleinere und effizientere Chip-Designs musste man in den vergangenen Jahren an den Konkurrenten TSMC abgeben. Dabei hat das selbstgewählte IDM-Konstrukt (Integrated Device Manufacturer) einige Vorteile zu bieten. Theoretisch kann Intel die Fertigung deutlich enger mit den... [mehr]


  • Lam Research: Wafer-Schutzschicht für bessere Ausbeute

    Als führender Anbieter von verfahrenstechnischen Anlagen in der Halbleiterindustrie hat Lam Research ein neues Verfahren vorgestellt, welches für eine höhere Ausbeute im Prozess der Wafer-Verarbeitung sorgen soll. Da es in der Fertigung moderner Chips zu einem immer größeren Anteil an Verarbeitungsschritten und teilweise Wafer-on-Wafer-Technologien kommt, wird ein Schutz der Wafer zunehmend wichtig. Die Kante eines Wafers ist eine... [mehr]


  • Montage- und Testeinrichtung: Intel investiert 4,6 Milliarden in Polen

    Bereits mit der Ankündigung einer neuen Fabrik bei Magdeburg nannte Intel weitere Milliarden-Investitionen in einigen europäischen Ländern. Unter anderem soll eine Entwicklungsabteilungen in Polen um 50 % vergrößert werden. Hier sind bereits etwa 4.000 Mitarbeiter aktiv. Nun kündigt das Unternehmen eine weitaus größere Investition an. Bei Wrocław in Polen soll eine Einrichtung für das Packaging und Testen entstehen. Insgesamt sollen... [mehr]


  • Advanced Backend Fab 6: TSMC kann mehr als 1 Million Wafer pro Jahr verarbeiten

    Gestern hat TSMC die offizielle Eröffnung seiner Advanced Backend Fab 6 verkündet. Damit trifft TSMC ziemlich gut den aktuellen Bedarf nach Packaging-Kapazitäten, den vor allem NVIDIA aktuell vorantreibt. Mit den drei Ausbaustufen AP6A, AP6B und AP6C entsteht mehr als die doppelte Kapazität dessen, was aktuell in der Advanced Backend Fab 1 möglich war. Auf 143.000 m² beläuft sich die Fläche der AP6-Anlage im Zhunan Science Park,... [mehr]


  • Gemeinsam mit NXP, Bosch und Infineon: TSMC will in Deutschland investieren

    Bereits häufiger gab es Berichte dazu, dass TSMC den Bau einer Chipfabrik in Deutschland plane. Bestätigt sind diese bisher nicht und genau wie beim Bau der Megafab von Intel hängt vieles vermutlich davon ab, inwieweit die Unternehmen durch staatliche Förderungen animiert werden können. Laut eines Berichtes von Bloomberg reifen die Pläne seitens TSMC aber immer weiter und man bemüht sich um entsprechende Partner, um dem Projekt eine gewisse... [mehr]


  • Schärfer für bessere Ausbeute: NVIDIA cuLitho berechnet Belichtungsmasken

    Wie unglaublich komplex die Fertigung eines Halbleiter-Chips ist, können sich sicherlich die wenigsten überhaupt vorstellen. Bis aus einem gezüchteten Silizium-Kristall ein funktionierender Chip wird, sind tausende Schritte notwendig. Erst kürzlich konnten wir das IDC und die Fab 28 von Intel in Israel besuchen. Ein zentraler Bestandteil in der Herstellung eines Halbleiter-Chips sind die Fertigung und Belichtung selbst. Dazu werden... [mehr]


  • Intel 18A- und 20A-Prozess: Entwicklung soll abgeschlossen sein

    Für die nächsten beiden Fertigungsschritte Intel 4 und Intel 3 wird Intel noch klassische FinFETs und eine Heranführung der Signal- und Power-Layer von einer Seite verwenden. Intel 20A und Intel 18A sind die nächsten beiden großen Schritte, die nun laut Intels China-Präsident Wang Rui zumindest in der Entwicklung abgeschlossen sein sollen. Dies berichtet United Daily News in China. Dies bedeutet noch nicht, dass Intel bereit dafür ist,... [mehr]


  • Jeder Chip will überleben: Ein Besuch in Intels IDC und Fab 28

    Zum Start der Raptor-Lake-Prozessoren hatten wir die Gelegenheit, sozusagen die Geburtsstätte dieser CPU-Generation zu besuchen. Was wenige wissen: Das Unternehmen Intel betreibt eine große Forschungs- und Entwicklungsabteilung in Israel sowie fertigt hier die aktuellen CPU-Generationen. Insgesamt hat Intel 12.000 Angestellte in Israel, die sich maßgeblich auf das Intel Development Center (IDC) und die Fab 28 in Kiryat Gat aufteilen. Besucht... [mehr]


  • 40 Milliarden US-Dollar: TSMC baut zweite Fabrik in den USA

    TSMC hat heute verkündet, dass man neben einer Fabrik im US-Bundesstaat Arizona auch noch eine zweite bauen wird. Die erste soll ab 2024 Chips im N4-Prozess herstellen, die zweite dann ab 2026 solche im N3-Prozess. Beide Fabriken zusammengenommen werden 40 Milliarden US-Dollar kosten und zu einem Teil durch den US-Chips-Act finanziert. 4.500 Arbeitsplätze werden in den beiden Fabriken direkt entstehen, insgesamt etwa 10.000, da sich natürlich... [mehr]


  • Semi-News: Intels Foundry-Chef geht und Wafer sowie Fabs werden teuer

    Erst war es ein Gerücht, nun wurde es durch die Presseabteilung sowie den Chef von Intel bestätigt. Der aktuelle Chef der Foundry-Sparte Randhir Thakur wird das Unternehmen Anfang 2023 verlassen. Er war seit 2017 für Intel tätig. Einen direkten Nachfolger gibt es noch nicht, allerdings will Intel im kommenden Jahr die Übernahme des israelischen Unternehmens Tower Semiconductor abschließen. Aus dessen Führungsetage kommt womöglich... [mehr]


  • Defektrate von 40 Prozent: Russland bekommt kaum mehr funktionierende Chips

    Russland ist aufgrund der diversen Embargos aktuell vom Halbleitermarkt weitestgehend abgeschnitten und hat keine eigenen, modernen Fertigungskapazitäten. Der kürzlich kunstvoll abgelichtete Baikal BE-S1000 könnte auf absehbare Zeit der letzte seiner Art sein. Wie The Register sich auf das russische Magazin Коммерсантъ (Kommersant) berufend berichtet, hat Russland aktuell mit hohen Ausschussware aus China zu kämpfen. Die... [mehr]


  • MediaTek ist Intels erster großer Foundry-Kunde

    Wie Intel soeben verkündet hat, ist man eine strategische Partnerschaft mit MediaTek eingegangen. In dieser wird vereinbart, dass MediaTek Chips als Teil der Intel Foundry Services (IFS) beim Chipgiganten fertigen lassen und dabei die modernsten Ferigungsverfahren ("advanced process technologies") nutzen wird. Während Intel einen großen Kunden für seine IFS gewinnt, sieht sich MediaTek mit dem neuen Partner im Hinblick auf die... [mehr]


  • Kopie von TSMC N7: SMIC fertigt in China Chips in 7 nm

    Laut einer Analyse von TechInsights, hat SMIC (Semiconductor Manufacturing International Corporation), Chinas führender Halbleiterhersteller, bereits im vergangenen Jahr die ersten Chips aus der 7-nm-Fertigung ausgeliefert. Dies sorgt in der Branche für einiges an Aufsehen, denn bisher wurde nicht davon ausgegangen, dass China in diesem Bereich bereits auf Niveau der großen "westlichen" Auftragsfertiger ist. Offen damit... [mehr]


  • STMicroelectronics und GlobalFoundries bauen Chipfabrik in Frankreich

    STMicroelectronics und GlobalFoundries haben heute verkündet, dass man ein "Memorandum of Understanding" vereinbart habe, welches den Aufbau einer Chipfabrik im französischen Crolles ins Auge gefasst habe. GlobalFoundries wird 58 % des gemeinsamen Unternehmens halten, STMicroelectronics die restlichen 42 %. Die Fertigung soll modernste Wafer mit einem Durchmesser von 300 mm verwenden. Belichtet werden sollen vor... [mehr]


  • Die Nachfrage am Markt bricht ein: Halbleiterhersteller reduzieren Prognosen

    Bereits mehrfach haben wir an dieser Stelle von sinkenden Komponenten-Preisen und einem allgemeinen Rückgang der Nachfrage berichtet – so auch heute. Doch nicht immer korrelieren die Aussagen zum Markt für die fertigen Produkte mit dem, was weiter vorne in der Lieferkette passiert. So sagten Experten vor einigen Tagen noch ein Anhalten der Chipkriese bis ins Jahr 2024 voraus. Aber es gibt auch in dieser Branche deutliche Anzeichen der... [mehr]


  • GlobalWafers baut Werk für 1,2 Millionen Wafer pro Monat in Texas

    Intel, Samsung, TSMC – alle großen Halbleiterhersteller bauen ihre Kapazitäten derzeit massiv aus. TSMC erweitert seine bestehenden Fabriken an den verschiedenen Standorten in Taiwan, Samsung in Südkorea und Intel hat eine Erweiterung in Irland sowie im US-Bundesstaat Oregon angekündigt. Daneben sollen in Deutschland (Magdeburg) und im Bundesstaat Ohio zwei Mega-Fabs entstehen. Doch alleine mit den Produktionsstätten in denen die Wafer... [mehr]


  • Intel verschiebt Grundsteinlegung seiner Ohio-Megafab

    Intel möchte in der Halbleiterfertigung im gigantischen Maßstab expandieren. Neben einer Mega-Fab ein Europa, die in der Nähe von Magdeburg entstehen soll, sowie einer Erweiterung der bestehenden Fertigung in Nordirland, ist der Bau einer weiteren Mega-Fab in Ohio geplant. Der Umfang dieser Projekte liegt bei 17 Milliarden Euro für Magdeburg und 20 Milliarden US-Dollar für die zwei Werke im Bundesstaat Ohio. Solche Großprojekte gehen mit einer... [mehr]


  • TSMC: Fünf Fertigungsstufen in 3 nm und FinFlex für mehr Flexibilität

    Auf dem Technology Symposium 2022 hat TSMC seine Roadmap für die Fertigung in den kommenden drei Jahren offengelegt. Für diese wird der Grundbaustein die Fertigung in 3 nm sein. Um auf die Anforderungen der Kunden besser eingehen zu können und die Wegstrecke bis zur Nutzung von Nanosheets überbrücken zu können, wird es neben N3 noch vier weitere Fertigungsschritte in der 3-nm-Klasse geben. Eine moderne Fertigung wird immer komplexer... [mehr]


  • VLSI 2022: Intel erläutert Vorteile der Fertigung in Intel 4

    Auf dem 2022 IEEE VLSI Symposium hat Intel einen Vortrag zur Fertigung in Intel 4, dem 4-nm-Prozess, gehalten. Intel 4 wird für zahlreiche kommenden Prozessoren zum Einsatz kommen, allen voran Meteor Lake. Der Nachfolger Intel 3 wird designkompatibel zu Intel 4 sein, so dass für Intel 4 vorgesehene Produkte auch auf Intel 3 umgezogen werden können. Für Granite Rapids und Sierra Forrest wird Intel genau dies tun, denn zumindest Granite... [mehr]


  • Bund fördert Intels Magdeburg-Fab mit 6,8 Milliarden Euro

    Für Deutschland und Europa sind Intels Investitionen in neue und zusätzliche Fertigungskapazitäten sowie neue Entwicklungszentren wichtig. Es gilt unabhängiger zu werden, ob dies am Ende aber auch eine echte Unabhängigkeit bedeuten wird, steht wieder auf einem anderen Blatt. 17 Milliarden Euro will Intel in eine Mega-Fab in Magdeburg investieren und betonte in diesem Zusammenhang mehrfach, dass man diesen Betrag nicht alleine wird tragen... [mehr]


  • Imec zeichnet die Ångström-Ära und deren Herausforderungen

    Auf der Future Sumnmits 2022 sprach der CEO des Imec (Interuniversity Microelectronics Centre) Luc Van den hove über die Herausforderungen und möglichen Lösungen der Fertigung für Strukturbreiten von 1 nm und weniger – allgemein als Ångström-Ära bezeichnet. Dieser Begriff wird nicht nur von Intel in Form der Bezeichnungen Intel 20A und Intel 18A verwendet, sondern dürfte in den kommenden Jahren von vielen Herstellern für die entsprechenden... [mehr]


  • NVIDIA fasst auch Intel als Foundry-Partner ins Auge

    Nach der zweistündigen Keynote der GTC 22, auf der unter anderem die Hopper-Architektur für kommende Datacenter-Chips vorgestellt wurde, beantwortete NVIDIA in einer Fragerunde noch einige Fragen der Journalisten. Dabei kam das Thema Fertigung zur Sprache und wie NVIDIA mit der Zusammenarbeit mit Intel und AMD umgeht. Die Kollegen von TomsHardware haben die Fragerunde in Teilen transkribiert. Offenbar befindet sich NVIDIA in Gesprächen mit... [mehr]


  • Intel äußert sich am 15. März zu Investitionen in der EU

    Bereits häufiger wurde über die womöglich geplanten Standorte für eine neue Mega-Fab in der EU berichtet und auch einige Termine für die Ankündigung gab es bereits. Nun ist zumindest letztgenannter Umstand bekannt, denn Intel wird am 15. März um 14:00 Uhr unserer Zeit über die geplanten Investitionen in der Europäischen Union berichten. Die Ankündigung soll "Investitionen sowohl in den Ausbau von Forschungs- und... [mehr]