TSMC
  • NVIDIA, Synopsys, TSMC: cuLitho beschleunigt Maskenentwicklung in der Halbleiterfertigung

    Bereits im vergangenen Jahr präsentierte NVIDIA mit cuLitho eine Compute-Lithographie-Plattform, welche die bisher in diesem Bereich verwendeten CPU-Cluster durch GPUs ersetzen soll. Was im vergangenen Jahr noch eher nach einer Absichtserklärung klang, wird mit der entsprechenden Integration der Technologie in Synopsys Proteus, einer Synthese-Software für Belichtungsmasken, in den kommenden Chip-Generation Realität. Bereits in der... [mehr]


  • Verteilung des US Chips Acts: Intel bekommt am meisten, Samsung mehr als TSMC

    Die Bescheide des US Chips Acts gehen aktuell raus und offenbaren, welche Unternehmen das meiste Fördergeld einheimsen. Wie Bloomberg berichtet und bereits im Vorfeld bekannt war, wird Intel 10 Milliarden US-Dollar bekommen. Mit der Mega-Fab in Ohio hat man auch die größten und ambitioniertesten Pläne. Sollte die finale Ausbaustufe für Ohio in Angriff genommen, stünden hier sechs Module (Fab 27.1 bis Fab 27.6). Gebaut werden zunächst zwei... [mehr]


  • Intel und TSMC: Abhängigkeit "etwas stärker als gewünscht"

    Im Rahmen einer Telefonkonferenz mit Analysten äußerte sich Intels Chief Financial Officer (CFO) David Zinsner über die Partnerschaft und Abhängigkeiten zu TSMC. Das entsprechende Transkript ist bei Seeking Alpha verfügbar und offenbart einerseits das bereits Bekannte: Intel ist und wird weiterhin ein Kunde von TSMC sein. Andererseits beurteilt Intel seine Abhängigkeit gegenüber TSMC so, dass man hier mehr fertigen lässt, als man sich dies... [mehr]


  • Netzwerk-Hardware in 2 nm: Marvell und TSMC setzen Zusammenarbeit fort

    Marvell ist einerseits direkter Hersteller von Chips für Netzwerk-, Automotive und Storage-Anwendungen, man ist aber auch Anbieter von IP (Intellectual Property) für solche Chips. Entsprechend arbeitet man direkt und indirekt mit Auftragsfertigern wie TSMC zusammen und verkündet nun, dass man gemeinsam mit dem Taiwanisches Chiphersteller eine Technologie-Plattform entwickeln wird, die auf eine Fertigung in 2 nm ausgelegt ist. Bisher nutzt... [mehr]


  • Arrow Lake-S: Intel verzichtet auf LP-E-Kerne und DDR4-Unterstützung

    Neue Details zu Arrow Lake bestätigen einerseits bisherige Gerüchte, bieten aber auch neue Informationen zu den Unterschieden zwischen den Prozessoren für die Desktop-Plattform (Arrow Lake-S) und Notebooks. Sie stammen einmal mehr vom bisher treffsicheren chinesischen Bilibili-Nutzer Golden Pig Upgrade. Anders als bisher angenommen wird Arrow Lake-S wohl nicht als 15. Core-Generation auf den Markt kommen. Mit der Ankündigung... [mehr]


  • Gute Auftragslage: NVIDIA nun zweitgrößter Kunde von TSMC

    NVIDIA ist im vergangenen Jahr zum zweitgrößten Kunden von TSMC aufgestiegen – dies geht aus einer Analyse von Dan Nystedt hervor. Die hohe Nachfrage nach Fertigungs- und Packaging-Kapazitäten verdankt NVIDIA dem noch immer anhaltendem KI-Boom und TSMC wird die Aufträge sicherlich dankend entgegennehmen. Für gewöhnlich zeigt sich der Taiwanesische Auftragsfertiger recht zugeknöpft, wenn es am die eigenen Kundschaft geht. Im Rahmen der... [mehr]


  • Foundry-News: Intels Ohio-Fab noch immer Ende 2025 fertig, TSMC weitet JV in Japan aus

    In den vergangenen Tagen gab es einige Meldungen rund um die geplanten Fabriken der großen Halbleiterhersteller. Im Rahmen der Bekanntgabe der Quartalszahlen äußerte sich Intel zur aktuellen Entwicklung der Mega-Fab in Ohio, die analog zur Fab in Magdeburg große Fertigungskapazitäten zur Verfügung stellen soll und auf die mordernsten Fertigungsverfahren geht. Für Ende 2025 ist die Fertigstellung der Ohio-Fab geplant und dabei soll es auch... [mehr]


  • Advanced Packaging: NVIDIA soll kleines Volumen an Intel vergeben

    Laut eines Berichts von UDN in China wird NVIDIA ein Teilvolumen seines Packagings bei Intel durchführen lassen. Aktuell ist NVIDIA für seine KI-Beschleuniger sowohl in der Fertigung der Chips als auch für das Packaging von TSMC abhängig und muss sich hier die zur Verfügung stehende Kapazität mit anderen Kunden teilen. Das CoWoS-Packaging stellt den Flaschenhals in der Fertigung der KI-Beschleuniger dar und begrenzt die zur Verfügung stehenden... [mehr]


  • TSMC: Apple wird wohl zum Hauptabnehmer für 2nm-Chips werden

    Noch sind es grob zwei Jahre, bis die 2-nm-Technologie des taiwanischen Chipherstellers TSMC offiziell in die Massenproduktion überführt wird. Aber bereits jetzt scheint sicher zu sein, dass der Hauptabnehmer der neuen Halbleiter auch dieses mal Apple sein dürfte. Es hat gewissermaßen Tradition, dass der Smartphone-Pionier der erste Hersteller ist, der mit den Produkten des neusten Fertigungsprozesses aus dem Hause TSMC ausgestattet wird. Wohl... [mehr]


  • TSMC: Vorbereitungen für die 1-nm-Produktion laufen an

    Der Halbleitergigant TSMC hat damit begonnen, sich auf die 1-nm-Produktion vorzubereiten. Gleichzeitig beginnt das Unternehmen mit der Planung einer neuen hochmodernen Produktionsstätte in Taiwan. Als erster Hersteller für Halbleiter in der Welt, will sich die taiwanesische Chip-Schmiede an die in der Branche magische 1-nm-Grenze heran wagen. Dabei kommen auf den Konzern laut Branchenexperten schätzungsweise Gesamtentwicklungskosten von... [mehr]


  • Diffused in Taiwan: AMD entfernt Hinweis zum Herkunftsland der Chips

    Seit gestern macht die Meldung die Runde, AMD verzichte zukünftig auf den Hinweis, dass seine Prozessoren in Taiwan gefertigt werden. TomsHardware berichtete darüber und hilt dazu auch Rücksprache mit AMD. Die Berichterstattung geht auf einen Post bei X Anfang Januar zurück, auf dem ein Ryzen 9 7900X zu sehen ist, auf dessen Heatspreader die Beschriftung "Diffused in Taiwan" fehlt. Natürlich wurde hier gleich vermutet, dass AMD dies tue um... [mehr]


  • Doch nicht aus China: Huawei lässt Soc in 5 nm bei TSMC fertigen

    Es ist derzeit ein strittiges Thema und trotz vieler Handelsbeschränkungen gelingt es China aktuell immer mehr Chips selbst zu fertigen und erreicht dabei auch Strukturgrößen, die man ihnen zum aktuellen Zeitpunkt noch nicht zugetraut hätte. So lässt Huawei den Kirin 9000S im Mate 60 Pro durch SMIC (Semiconductor Manufacturing International Corporation) in China fertigen. Verwendet wird ein 7-nm-Prozess. Die verwendeten DUV-Systeme (Deep... [mehr]


  • IEDM 2023: TSMC zeigt erstmals was nach N2 geplant ist

    Bereits mit dem ersten Tag des diesjährigen IEEE International Electron Devices Meeting (IEDM 2023) haben wir über die Bemühungen von Intel und TSMC hinsichtlich der Materialforschung gesprochen, um Strukturbreiten von 2 nm und weniger in der Fertigung zu erreichen. Fokus der diesjährigen Konferenz ist aber sicherlich das Thema Backside Power Delivery Networks (BSPDN) – auch dazu hatten wir einen ausführlichen Bericht. In einem... [mehr]


  • Unklare Finanzierung: Bund hält dennoch an geplanten Halbleiterfabriken fest

    Das Urteil des Bundesverfassungsgerichts vor zwei Wochen hinsichtlich der Umwidmung ungenutzter Kredite, die zur Bewältigung der Coronakrise gedacht waren, traf die Bundesregierung unerwartet und hart. Seither wackeln viele Projekte, die mit dem zusätzlichen Kapital gefördert werden sollten. Dies betrifft auch die Förderungen der geplanten Halbleiterfabriken von Intel und TSMC sowie die Chipfabrik von Wolfspeed im Saarland, welche sich bereits... [mehr]


  • 3-nm-Prozess: Samsung soll bessere Ausbeute als TSMC erreichen

    Wohl unangefochten bietet TSMC aktuell das beste Angebot an modernster Fertigung und dazugehörigem Packaging. Nicht ohne Grund bestellen namhafte und bisher unbekannte Hersteller zumeist ihre Chips genau hier. HPC- und AI-Beschleuniger, aber auch die aktuellen GPUs kommen zu 90 % aus den Werken bei TSMC. Doch mit den immer kleineren Strukturgrößen der Transistoren kann auch ein Branchenprimus so seine Probleme bekommen und genau das soll bei... [mehr]


  • IEDM 2023: Intel, TSMC und andere arbeiten an Transistoren in 2 nm und weniger

    Die Forschung an neuen Technologien für die rückseitige Spannungsversorgung der Transistoren ist auch eine Notwendigkeit aus der Weiterentwicklung der Transistor-Technologien. Genau wie PowerVia als Technik sollen uns bei Intel im kommenden Jahr die ersten RibbonFET erwarten. Neben Intel präsentiert aber auch TSMC aus dem 2023 IEEE International Electron Devices Meeting (IEDM 2023) seine zukünftigen Ansätze im Bereich der... [mehr]


  • Chipfertigung: Unabhängigkeit wird zwei Jahrzehnte brauchen

    Eine Hauptmotivation hinter dem Aufbau einer Chipfertigung in den USA und Europa ist, dass sich die Unternehmen unabhängiger von Asien und hier im speziellen Taiwan machen wollen. Der gesamte US und EU Chips Act in Form der Fördergelder beruht auf einer geplanten Unabhängigkeit der westlichen Regionen. Dass dies aber nicht mit dem Aufbau milliardenschwerer Fabriken getan ist, dürfte nur die wenigsten überraschen. Die Lieferketten sind... [mehr]


  • Mehr als 10 Milliarden US-Dollar: Intel soll Großbestellung bei TSMC planen

    Intel lässt bereits seit einigen Jahren gewisse Chips bei TSMC fertigen und ist in einigen Bereichen sogar komplett davon abhängig, seine Chips dort fertigen zu lassen. Für Meteor Lake werden die Graphics-, SoC- und I/O-Tile von TSMC gefertigt. Vor einigen Tagen wurde bekannt, dass für den Nachfolger Lunar Lake sogar eine Fertigung des Compute-Tiles bei TSMC geplant ist. Wie Andrew Lu von eeNews nun vermeldet, wird Intel in den... [mehr]


  • Intel und TSMC: BVerfG-Urteil gefährdet Subventionen für Chip-Fabriken

    Gestern erklärte das Bundesverfassungsgericht den zweiten Nachtragshaushalt 2021 der Bundesregierung für verfassungswidrig und damit für nichtig. Damit gehen dem Klima- und Transformationsfonds (KFT) 60 Milliarden Euro verloren, die ihrerseits schon großzügig verplant worden sind. Was zunächst nur für Klimaschutzprojekte Auswirkung zu haben scheint, entpuppt sich bei näherer Betrachtung aber als Problem auch für die angesetzte Chip-Strategie... [mehr]


  • Intel Lunar Lake-MX: 4P+4E-Kerne aus TSMCs N3B, Battlemage-GPU und MOP-Speicher

    Ein paar auf X veröffentlichte Folien zur übernächsten Generation der Intel-Mobilprozessoren namens Lunar Lake enthalten interessante Details über die Pläne des Chipriesen in diesem Segment. Der von YuuKi_AnS veröffentlichte Post ist inzwischen wieder offline, im Forum von Anandtech gibt es jedoch eine Kopie der darin enthaltenen Bilder. Spezifisch adressieren die Folien Lunar Lake-MX, eine bisher in der Form unbekannte Variante eines... [mehr]


  • 2023 OIP-Forum: TSMC rührt die Werbetrommel für 3D-Chip Hard- und Software

    Neben NVIDIA, mit den Verkäufen der entsprechenden AI-Hardware, ist auch TSMC einer der großen Profiteure des aktuellen AI-Hypes, denn hier werden die größten und aufwendigsten der aktuellen Chips gefertigt. Entsprechend hat man auch große Pläne und baut die Kapazitäten weiter aus. Aber TSMC ist nicht der einzige Hersteller, der groß in seine Packaging-Werke investiert, auch bei Intel laufen die Baumaschinen in Malaysia (Werk... [mehr]


  • TSMC: Aggressiver Ausbau der Advanced Packaging-Einrichtungen für KI-Chips

    Einem Bericht des Taiwan Economic Daily zufolge, möchte der taiwanesische Chiphersteller TSMC seine Kapazitäten für das Advanced Packaging, in diesem Fall das CoWoS-Packaging, kurz für Chip-on-Wafer-on-Substrate, noch stärker ausbauen. Nötig machen diese Ambitionen die anhaltend hohe Nachfrage von Technologieunternehmen wie NVIDIA und AMD nach KI-Produkten. Zurzeit ist TSMC nicht in der Lage, diese angemessen zu befriedigen. Obwohl TSMC... [mehr]


  • TSMC: Neue Fabrik geht mit fünf Milliarden Euro Subventionen nach Dresden

    Es wurde lange vermutet, nun ist es Gewissheit: Der taiwanische Chiphersteller TSMC wird seine geplante Fabrik für Europa in Deutschland errichten, genauer in Dresden. Mit ausschlaggebend für die Entscheidung dürften wohl wieder üppige Subventionen gewesen sein.  Als Partner für den Bau der neuen Anlage nimmt TSMC hierzulande noch Bosch, Infineon und NXP mit ins Boot. Jedes der Unternehmen soll jeweils mit zehn Prozent an dem... [mehr]


  • Advanced Backend Fab 6: TSMC kann mehr als 1 Million Wafer pro Jahr verarbeiten

    Gestern hat TSMC die offizielle Eröffnung seiner Advanced Backend Fab 6 verkündet. Damit trifft TSMC ziemlich gut den aktuellen Bedarf nach Packaging-Kapazitäten, den vor allem NVIDIA aktuell vorantreibt. Mit den drei Ausbaustufen AP6A, AP6B und AP6C entsteht mehr als die doppelte Kapazität dessen, was aktuell in der Advanced Backend Fab 1 möglich war. Auf 143.000 m² beläuft sich die Fläche der AP6-Anlage im Zhunan Science Park,... [mehr]


  • TSMC: Erhöhte Produktion für NVIDIA macht Kapazitätsausbau notwendig

    Entgegen dem Trend waren bis zuletzt in den Auftragsbüchern von TSMC kaum Auftragslücken zu finden. Das Unternehmen ist derzeit noch gut ausgelastet und der derzeitige Boom von Künstlicher Intelligenz und High-Performance-Computing lässt sogar die Kapazitäten von TSMC an ihre Grenzen kommen. Die Nachfrage nach fortschrittlichen Prozesstechnologien führender Chiphersteller – allen voran nach Grafikprozessoren wie dem A100 und dem H100 von... [mehr]


  • Apple: Eigene Micro-LED-Display Produktion geplant

    Apple versucht offenbar seine Abhängigkeiten vom Konkurrenten Samsung zu verkleinern und steigt deshalb selbst in in die Herstellung von Displays ein. Der Schritt ist für Apple eher ungewöhnlich. Die übliche Vorgehensweise des iPhone-Herstellers ist es, die Display-Hersteller mit den notwendigen Spezifikationen der Bildschirme zu versorgen und ihnen die eigentliche Produktion zu überlassen. Die Displays sind allerdings mit eine der teuersten... [mehr]


  • Apple M3-SoC: Mit 12 Kernen bereits in der Testphase

    Während sich Apple intensiv auf die Markteinführung seiner nächsten M2-Macs vorbereitet, scheint das Unternehmen offenbar bereits Tests mit dem Nachfolgeprozessor, dem M3, zu starten. Ein kürzlich aufgetauchter Prototyp soll bereits mit 12 CPU- und 18 Grafik-Kernen ausgestattet sein, zumindest hat dies ein Entwickler dem Nachrichtenportal Bloomberg so zugespielt.  Dabei setzt sich der konkrete Chip aus sechs Hochleistungskernen, die... [mehr]


  • Gemeinsam mit NXP, Bosch und Infineon: TSMC will in Deutschland investieren

    Bereits häufiger gab es Berichte dazu, dass TSMC den Bau einer Chipfabrik in Deutschland plane. Bestätigt sind diese bisher nicht und genau wie beim Bau der Megafab von Intel hängt vieles vermutlich davon ab, inwieweit die Unternehmen durch staatliche Förderungen animiert werden können. Laut eines Berichtes von Bloomberg reifen die Pläne seitens TSMC aber immer weiter und man bemüht sich um entsprechende Partner, um dem Projekt eine gewisse... [mehr]


  • N2 ab 2025: TSMC bekräftigt aktuelle Entwicklung

    Auf dem Technology Symposium in Nordamerika (via Anandtech) hat TSMC über die aktuelle Entwicklung und die Pläne hinsichtlich seiner N2-Familie, also der Fertigung in 2 nm, gesprochen. Die Varianten der N2-Fertigung sollen 2025 bzw. 2026 die ersten fertigen Chips abwerfen. Bisher hatte TSMC nur seine N3-Familie detaillierter ausgeführt, während die Fertigung in N2 noch mehr oder weniger nur ein Ausblick war. Für die gesamte N2-Familie... [mehr]


  • Schrumpfender PC-Markt: Gesunkene Nachfrage erreicht nun auch TSMC und ASML

    Haben sich der Auftragsfertiger TSMC und der Anlagenbauer ASML bisher noch wacker gegen den Einbruch am PC-Markt stemmen könne, so erreicht die beiden Konzerne mittlerweile auch die Flaute infolge gesunkener Nachfrage. TSMC hat daher nun zum zweiten Mal mit einem Rückgang beim Umsatz zu kämpfen, während ASML auch deutlich weniger Bestellungen für Belichtungssysteme zu verzeichnen hat. Die beiden Brachen-Spezialisten hatte bis vor kurzem noch... [mehr]


  • TSMC-Werk in Deutschland: Entscheidung soll im Sommer fallen

    Bezüglich seiner ersten Produktionsstätte in Europa hat TSMC nach wie vor keine offizielle Entscheidung über den Standort getroffen. Offenbar möchte das Schwergewicht in der Halbleiterfertigung diese nun im kommenden Sommer fällen, so will es der Branchendienst DigiTimes unter Berufung auf unternehmensnahe Quellen erfahren haben. Es gibt seit langem Gerüchte, dass TSMC das Bundesland Sachsen als Standort für seine erste europäische Fabrik in... [mehr]


  • TSMC: Konzern intensiviert Gespräche mit Sachsen hinsichtlich einer Chipfabrik

    Seit einiger Zeit plant der Halbleiterhersteller TSMC eine erstes Fertigungswerk innerhalb Europas zu errichten. Der Chiphersteller war bereits auf Begehungstour und hat verschiedene Standorte in Europa besichtigt und evaluiert. Deutschland war dabei als Standort immer wieder im Gespräch. Die zentrale Lage innerhalb der Union und die gut ausgebaute Infrastruktur machen das Land interessant für ein solches Vorhaben. Nachdem generell über die... [mehr]


  • TSMC-Werk in Europa: Pläne werden wahrscheinlich verschoben

    Der taiwanische Chip-Auftragsfertiger TSMC plante, in diesem Jahr seine ausländischen Kapazitäten auszubauen. Besonders Europa geriet in den Blick des Herstellers, ist dieser hier bisher nicht direkt vertreten. Zu diesem Zweck wurden bereits Teams zur Inspektion von Standorten in verschiedene Länder entsandt. Gerade Dresden schien bei der engeren Standortauswahl ganz oben auf der Liste zu stehen. Noch im Januar erklärte der Konzern, dass... [mehr]


  • 40 Milliarden US-Dollar: TSMC baut zweite Fabrik in den USA

    TSMC hat heute verkündet, dass man neben einer Fabrik im US-Bundesstaat Arizona auch noch eine zweite bauen wird. Die erste soll ab 2024 Chips im N4-Prozess herstellen, die zweite dann ab 2026 solche im N3-Prozess. Beide Fabriken zusammengenommen werden 40 Milliarden US-Dollar kosten und zu einem Teil durch den US-Chips-Act finanziert. 4.500 Arbeitsplätze werden in den beiden Fabriken direkt entstehen, insgesamt etwa 10.000, da sich natürlich... [mehr]


  • Semi-News: Intels Foundry-Chef geht und Wafer sowie Fabs werden teuer

    Erst war es ein Gerücht, nun wurde es durch die Presseabteilung sowie den Chef von Intel bestätigt. Der aktuelle Chef der Foundry-Sparte Randhir Thakur wird das Unternehmen Anfang 2023 verlassen. Er war seit 2017 für Intel tätig. Einen direkten Nachfolger gibt es noch nicht, allerdings will Intel im kommenden Jahr die Übernahme des israelischen Unternehmens Tower Semiconductor abschließen. Aus dessen Führungsetage kommt womöglich... [mehr]


  • Kopie von TSMC N7: SMIC fertigt in China Chips in 7 nm

    Laut einer Analyse von TechInsights, hat SMIC (Semiconductor Manufacturing International Corporation), Chinas führender Halbleiterhersteller, bereits im vergangenen Jahr die ersten Chips aus der 7-nm-Fertigung ausgeliefert. Dies sorgt in der Branche für einiges an Aufsehen, denn bisher wurde nicht davon ausgegangen, dass China in diesem Bereich bereits auf Niveau der großen "westlichen" Auftragsfertiger ist. Offen damit... [mehr]


  • TSMC: Strompreise sorgen für steigende Produktionskosten

    Die steigenden Energiepreise sorgen nicht nur für eine höhere Stromrechnung, sondern werden sich in Zukunft auch auf diverse Produkte auswirken. Wie jetzt bekannt wurde kostet der Strom in Taiwan für Großabnehmer nun 15 % mehr. Dies hat zur Folge, dass unter anderem TSMC und UMC mit höheren Produktionskosten rechnen müssen. Der Inselstaat 180 km östlich von China setzt bei der Energiegewinnung hauptsächlich auf Kohle und Erdgas. Laut Angaben... [mehr]


  • 2 nm und GAA: Samsung legt los, TSMC trennt BPD von N2-Fertigung (Update)

    Auf dem Technology Symposium 2022 sprach TSMC über seine Pläne hinsichtlich der kommenden Fertigungsschritte. Während der Fokus in den kommenden Jahren auf auf Fertigung in diversen N3-Varianten liegen wird, steht mit N2 der Wechsel von den FinFETs auf GAA-Transistoren an. Mit N2 wird TSMC aber noch nicht direkt auf die Backside Power Delivery (BPD), sprich die Strom- und Spannungsversorgung durch die Rückseite des Wafers, umsteigen... [mehr].


  • TSMC: Fünf Fertigungsstufen in 3 nm und FinFlex für mehr Flexibilität

    Auf dem Technology Symposium 2022 hat TSMC seine Roadmap für die Fertigung in den kommenden drei Jahren offengelegt. Für diese wird der Grundbaustein die Fertigung in 3 nm sein. Um auf die Anforderungen der Kunden besser eingehen zu können und die Wegstrecke bis zur Nutzung von Nanosheets überbrücken zu können, wird es neben N3 noch vier weitere Fertigungsschritte in der 3-nm-Klasse geben. Eine moderne Fertigung wird immer komplexer... [mehr]


  • Snapdragon 8+ Gen 1: Mehr Takt und weniger Verbrauch durch TSMCs N4-Prozess

    Als kleines Update im Produktzyklus stellt Qualcomm heute den Snapdragon 8+ Gen 1 vor. Daneben gibt es mit dem Snapdragon 7 Gen 1 in der Mittelklasse eine Aktualisierung außerhalb des sonst üblichen Produkzyklus. Bislang war es für solche Updates eher üblich, dass Qualcomm etwas an der Taktschraube dreht, die SoCs sonst aber unangetastet blieben. Für die nun vorgestellte Gen-1-Generation aber wechselt Qualcomm den Auftragsfertiger und geht... [mehr]


  • Graphcore IPU wird durch 3D Wafer on Wafer schneller und effizienter

    Vor zwei Jahren stellte das in London ansässige Start-up Graphcore die Mk2 GC200 Intelligence Processing Unit (IPU) vor. Mit 59,4 Milliarden Transistoren auf einer Fläche von 823 mm², gefertigt in 7 nm bei TSMC, war die zweiten Generation der Angriff auf die etablierten Hersteller und hier vor allem NVIDIA. Im vergangenen Jahr präsentierte Graphcore weitere Details, wie das Vorhandensein von 1.472 IPU-Cores, die 8.832... [mehr]


  • Bis zu 44 Milliarden: TSMC verdient gut und investiert kräftig

    TSMC hat die Zahlen für das viertel Quartal 2021 und das Gesamtjahr 2021 präsentiert. Der Umsatz wuchs im letzten Quartal um 21 % auf 15,7 Milliarden US-Dollar an – 6 Milliarden US-Dollar an Gewinn wurden eingefahren. Pro Wafer verdient TSMC deutlich mehr Geld, denn das Volumen stieg um nur 15 %. Aber das es dem Auftragsfertiger in Anbetracht der aktuellen Chipkrise gut geht, dürfe niemanden weiter überraschen. TSMC will die... [mehr]


  • TSMC stellt N4X-Fertigung für High-Performance-Chips vor

    Mit einem N4X getauften Prozess erweitert der Taiwanesische Auftragsfertiger TSMC sein Portfolio um ein weiteres Angebot. N4X soll vor allem für High-Performance-Chips ausgelegt sein, wo die Effizienz nicht der allererste Fokus ist. Dabei soll N4X nur die erste Fertigung einer neuen Serie sein, die eben auf HPC-Chips fokussiert wird. Das "X" wird zukünftig entsprechende Fertigungsverfahren identifizierbar machen. N4X ist dabei Teil der Serie... [mehr]


  • Fertigung in 5 nm: TSMC schiebt ein N4P ein

    TSMC hat angekündigt eine weitere Fertigungsstufe einzuführen, die den Übergang zu N3 (3 nm) und den Wechsel von N5 (5 nm) erleichtern und den Kunden einige Vorteile bieten soll. N4P besitzt als "Performance Node" einen entsprechenden Fokus auf Chips, bei denen die Leistung im Fokus steht. Es handelt sich nach N5 und N4 um die dritte Fertigungsstufe der 5-nm-Fertigung bei TSMC. N4P soll bei gleicher Leistungsaufnahme eine um 11 %... [mehr]


  • Yitian 710: Alibaba lässt 128 Arm-Kerne in 5 nm fertigen

    Der chinesische Online-Gigant Alibaba hat seinen ersten eigenen Server-Prozessor auf Basis einer Arm-Architektur vorgestellt. Der Yitian 710 getaufte Prozessor bietet 128 Arm-Kerne, die auf der ARMv9-Architektur basieren. Ob Alibaba Änderungen vorgenommen hat oder das Referenzdesign von Arm (N2-Plattform Perseus) verwendet, ist nicht bekannt. Fertigen lässt Alibaba seine Prozessoren offenbar bei TSMC, denn es kommt ein modernes Verfahren... [mehr]


  • TSMC: Chipmangel bis mindestens 2023

    Bereits vor einigen Tagen äußerte sich der Auftragsfertiger TSMC zur weiterhin anhaltenden Halbleiter-Krise. Das Unternehmen machte dabei nicht nur die Corona-Pandemie als Schuldigen aus. Laut TSMC würden in der Lieferkette momentan Chips gehortet. Aus diesem Grund ist es nicht verwunderlich, dass der Auftragsfertiger nun das sechste Rekordquartal in Folge verzeichnete. Der Umsatz stieg im Vergleich zum Vorquartal nochmals um rund 11 % an und... [mehr]


  • Sony und TSMC: Gemeinsame Halbleiterherstellung in Japan geplant

    Die aktuell anhaltende Halbleiterkrise ist nicht nur für alle Gamer äußerst ärgerlich, auch die Automobilbranche leidet unter den fehlenden Chips. Da in den kommenden Jahren insbesondere bei der Fahrzeugherstellung immer mehr Halbleiter benötigt werden, haben sich nun Sony und der taiwanische Auftragsfertiger TSMC zusammengetan. Beide Unternehmen wollen gemeinsam in der Präfektur Kumamoto, die sich im Westen von Japan befindet, eine neue Fabrik... [mehr]


  • TSMC: Corona-Pandemie nicht Alleinschuldiger der Halbleiter-Krise

    Die Halbleiter-Krise zieht sich durch diverse Industriezweige, ob Automobil-, Gaming- oder allgemein die Elektronikbranche - aktuell kann die massive Nachfrage nach Chips nicht ansatzweise befriedigt werden. Als Schuldiger wurden bislang die Corona-Pandemie und die damit einhergehenden Lieferschwierigkeiten beziehungsweise die Veränderungen beim Kaufverhalten der Konsumenten verantwortlich gemacht. Ob Notebooks, Webcams, Videospielkonsolen oder... [mehr]


  • Chip-Krise spitzt sich zu: TSMC-Lieferzeiten dauern nun bis zu 21 Wochen

    Die Chip-Knappheit spitzt sich offenbar zu – zumindest beim drittgrößten Halbleiterhersteller der Welt: TSMC. Wie das Nachrichtenportal Bloomberg berichtet, zeigt eine Analyse der Susquehanna Financial Group, dass sich die Lieferzeiten des Unternehmens seit August weiter verschlechtert haben. So dauert eine Lieferung inzwischen sechs Tage länger und damit insgesamt rund 21 Wochen. Zum Vergleich: 2018 lag die Wartezeit noch bei rund 13... [mehr]


  • Xe-HPG-GPUs von TSMC: Kosten und Leistung von N6 waren die Hauptgründe (Update)

    Im kommenden Frühjahr wird Intel der dritte Anbieter für diskrete Grafikkarten werden. Auf welchem Niveau und Umfang diese gelingen wird, wird man noch sehen müssen. Neben dem Einstieg im kommenden Jahr hat Intel zudem schon die längerfristigen und wohl nachhaltigen Pläne offenbart. Auf die ersten Arc-Generation Alchemist (Xe-HPG) werden Battlemage (Xe2-HPG), Celestial (Xe3-HPG) und Druid (Xe-Next Architecture) folgen. In einem Interview mit... [mehr]