Fertigung
  • Mehr Leistung pro Watt: Intel 14A bringt 15 %, Intel 14A-E weitere 5 %

    Zur IFS Direct Connect sprach Intel über seine Foundry-Strategie und die Fertigungsschritte der Zukunft. Unter anderem enthüllt wurden Intel 14A, Intel 10A und die Optimierungsschritte E (Enhanced), P (Performance) und T (TSV-optimiert). In den kommenden Jahren vor allem für die Intel-eigenen Produkte eine Rolle spielen werden die Schritte zu Intel 3, Intel 20 und Intel 18A. Die große Frage dabei ist, welche Verbesserungen Intel hier für die... [mehr]


  • Prozess-Umbenennung: Samsung soll 3-nm- in 2-nm-Prozess umbenennen

    Seit ca. zehn Jahren driftet die Benennung der Prozess-Technologien mit den tatsächlichen Größen immer weiter auseinander. Das, was TSMC als N3 oder Intel als Intel 7 bezeichnen, hat keinerlei direkte Verbindung mit den Dimensionen der gefertigten Transistoren. Um hier zumindest in der Namensgebung wieder auf Niveau der Konkurrenz zu sein, entschied sich Intel Mitte 2021 zu einer Umbenennung. Nun plant offenbar auch Samsung eine Umbenennung,... [mehr]


  • Gute Auftragslage: NVIDIA nun zweitgrößter Kunde von TSMC

    NVIDIA ist im vergangenen Jahr zum zweitgrößten Kunden von TSMC aufgestiegen – dies geht aus einer Analyse von Dan Nystedt hervor. Die hohe Nachfrage nach Fertigungs- und Packaging-Kapazitäten verdankt NVIDIA dem noch immer anhaltendem KI-Boom und TSMC wird die Aufträge sicherlich dankend entgegennehmen. Für gewöhnlich zeigt sich der Taiwanesische Auftragsfertiger recht zugeknöpft, wenn es am die eigenen Kundschaft geht. Im Rahmen der... [mehr]


  • Intels Foundry-Geschäft: ARM, Microsoft und Co sind Partner und Kunde zugleich

    Nicht nur eine neue Roadmap für das Foundry-Geschäft hat Intel auf der IFS Direct Connect verkündet, sondern auch eine Umstrukturierung innerhalb des eigenen Unternehmens, die dem Rechnung tragen sollen. Aus Intel Foundry Services (IFS) wird nun nur noch Intel Foundry. Aber die Abteilungen für die Entwicklung der neuen Fertigungs- und Packaging-Technologien werden nun Bestandteil der Intel Foundry sein. Das zweite Standbein von Intel bleiben... [mehr]


  • Intel IFS Direct Connect: Intel enthüllt Intel 14A und Pläne für weiter optimierte Fertigungsprozesse

    Über die letzten Jahre hinweg wiederholte Intel immer wieder das Mantra der "Five Nodes in four Years" (5N4Y). Bis zu Intel 18A als bisher fortschrittlichste Fertigung über die Intel offiziell gesprochen hat, will Intel zurück zu einer verlässlichen Ausführung seiner Fertigung. Die zahlreichen Verzögerungen für die Fertigung in 10 nm schmerzen noch immer und haben zu zahlreichen Verzögerungen geführt. Intel 7 war bzw. ist als 10-nm-Prozess... [mehr]


  • Foundry-News: Intels Ohio-Fab noch immer Ende 2025 fertig, TSMC weitet JV in Japan aus

    In den vergangenen Tagen gab es einige Meldungen rund um die geplanten Fabriken der großen Halbleiterhersteller. Im Rahmen der Bekanntgabe der Quartalszahlen äußerte sich Intel zur aktuellen Entwicklung der Mega-Fab in Ohio, die analog zur Fab in Magdeburg große Fertigungskapazitäten zur Verfügung stellen soll und auf die mordernsten Fertigungsverfahren geht. Für Ende 2025 ist die Fertigstellung der Ohio-Fab geplant und dabei soll es auch... [mehr]


  • Vereinbarung im Halbleitermarkt: Intel und UMC wollen zusammenarbeiten

    Intel und United Microelectronics Corporation oder kurz UMC aus Taiwan haben eine Vereinbarung getroffen, nach der unter anderem ein neuer 12-nm-Prozess entwickelt werden soll, der auf den wachsenden Markt im Bereich der Mobile-Chip, Kommunikationsinfrastruktur und Networking abzielt. Intel wird die Fertigungskapazitäten in den USA bereitstellen, während UMC seine Erfahrungen im Foundry-Geschäft und der Fertigung in diesen als... [mehr]


  • Mega-Fab in Magdeburg: Intel will in Deutschland modernste Fertigung starten

    Auf dem Weltwirtschaftsforum in Davos sprach Intels CEO Pat Gelsinger über die Zukunftspläne Intels. In Europa will Intel in den kommenden Jahren in mehreren Ländern Milliarden US-Dollar investieren – natürlich immer mit Unterstützung der Politik in Form von Subventionen. Flaggschiff soll ein Fab-Megaprojekt in Magdeburg werden, wo 30 Milliarden Euro ausgegeben werden sollen. Noch völlig unklar ist, welchen Fertigungsprozess Intel in Magdeburg... [mehr]


  • 465 Milliarden US-Dollar Investition: Südkorea plant riesiges Chip-Cluster

    Immer wieder berichten wir über Investitionen in Milliardenhöhe, die aktuell im Halbleiterbereich weltweit getätigt werden. US Chips Act, EU Chips Act – Länder, Regionen und anderen Zusammenschlüsse legen ihre Geldtöpfe zusammen. In Südkorea plant man in anderen Dimensionen. Bis 2047 soll hier ein riesiges Halbleiter-Megacluster entstehen, für das 622 Billionen Won, also etwa 465 Milliarden US-Dollar investiert werden sollen. Dies... [mehr]


  • Doch nicht aus China: Huawei lässt Soc in 5 nm bei TSMC fertigen

    Es ist derzeit ein strittiges Thema und trotz vieler Handelsbeschränkungen gelingt es China aktuell immer mehr Chips selbst zu fertigen und erreicht dabei auch Strukturgrößen, die man ihnen zum aktuellen Zeitpunkt noch nicht zugetraut hätte. So lässt Huawei den Kirin 9000S im Mate 60 Pro durch SMIC (Semiconductor Manufacturing International Corporation) in China fertigen. Verwendet wird ein 7-nm-Prozess. Die verwendeten DUV-Systeme (Deep... [mehr]


  • IFS Direct Connect: Intel wird die weiteren Fertigungspläne offenlegen

    Am 21. Februar wird Intel in San Jose die IFS Direct Connect veranstalten. Dabei wird es sich um eine Konferenz handeln, in der Intel einerseits seine Strategie für die Intel Foundry Services (IFS) weiter ausführen und womöglich weitere Kunden und Partnerschaften verkünden wird. Neben Intel werden Unternehmen wie Synopsys, Cadence, Siemens, Ansys vor Ort sein. Einen der Vorträge wird Dr. Ann Kelleher halten, die Leiterin der... [mehr]


  • IEDM 2023: TSMC zeigt erstmals was nach N2 geplant ist

    Bereits mit dem ersten Tag des diesjährigen IEEE International Electron Devices Meeting (IEDM 2023) haben wir über die Bemühungen von Intel und TSMC hinsichtlich der Materialforschung gesprochen, um Strukturbreiten von 2 nm und weniger in der Fertigung zu erreichen. Fokus der diesjährigen Konferenz ist aber sicherlich das Thema Backside Power Delivery Networks (BSPDN) – auch dazu hatten wir einen ausführlichen Bericht. In einem... [mehr]


  • 3-nm-Prozess: Samsung soll bessere Ausbeute als TSMC erreichen

    Wohl unangefochten bietet TSMC aktuell das beste Angebot an modernster Fertigung und dazugehörigem Packaging. Nicht ohne Grund bestellen namhafte und bisher unbekannte Hersteller zumeist ihre Chips genau hier. HPC- und AI-Beschleuniger, aber auch die aktuellen GPUs kommen zu 90 % aus den Werken bei TSMC. Doch mit den immer kleineren Strukturgrößen der Transistoren kann auch ein Branchenprimus so seine Probleme bekommen und genau das soll bei... [mehr]


  • IEDM 2023: Intel, TSMC und andere arbeiten an Transistoren in 2 nm und weniger

    Die Forschung an neuen Technologien für die rückseitige Spannungsversorgung der Transistoren ist auch eine Notwendigkeit aus der Weiterentwicklung der Transistor-Technologien. Genau wie PowerVia als Technik sollen uns bei Intel im kommenden Jahr die ersten RibbonFET erwarten. Neben Intel präsentiert aber auch TSMC aus dem 2023 IEEE International Electron Devices Meeting (IEDM 2023) seine zukünftigen Ansätze im Bereich der... [mehr]


  • AI-Chiplets der nächsten Generation: Tenstorrent wählt Samsungs SF4X-Prozess

    Lange konnte Samsung keine größeren Erfolge oder Neukunden für das eigene Foundry-Geschäft mehr verkünden. Verzögerungen und eine schlechte Ausbeute haben die potentielle Kundschaft wohl abgeschreckt und davon hat nicht zuletzt auch TSMC profitiert, wo quasi alle modernen Chips und Packages gefertigt werden – zumindest alles was nicht direkt von Intel stammt und dort auch gefertigt wird. Nun verkündet Tenstorrent, dass man Samsungs... [mehr]


  • Für Meteor Lake: Intel eröffnet Fab 34 im irischen Leixlip

    Nach Monaten des Baus und der Bestückung mit entsprechenden Maschinen wird die Fab 34 im irischen Leixlip heute offiziell eröffnet. In der Fab 34 gefertigt werden die ersten Chips in Intel 4, der aktuell modernsten Fertigung von Intel, die unter anderem den Compute-Tile der Meteor-Lake-Prozessoren bereitstellen sollen. Das High-Volume Manufacturing (HVM) dieser Chiplets ist auch der eigentliche Punkt in der Eröffnung, denn für Intel soll... [mehr]


  • EUV-Masken-Hersteller: Intel verkauft Teile seines Tafelsilbers

    Nachdem es bei Intel in den vergangenen Tagen einzig und alleine um Milliarden-Investitionen und damit das Ausgaben von Geld ging, folgte heute eine Meldung, die wieder etwas Geld in die Kassen spülen soll. Intel verkauft 20 % seiner Anteile am österreichischen Masken-Hersteller IMS Nanofabrication GmbH. Käufer ist Bain Capital, ein US-Finanzinvestor, der in den verschiedenen Bereichen investiert und sich dabei möglichst breit aufstellt... [mehr].


  • Samsung 3GAE: Die ersten MBCFET stecken in Mining-ASICs

    In den vergangenen Tagen machte Samsung in Hinblick auf seine modernsten Fertigungsverfahren auf sich aufmerksam. In den vergangenen Jahren war die Berichterstattung in dieser Hinsicht eher von Negativschlagzeilen geprägt: Verzögerungen, schlechte Ausbeute, das Wegfallen vieler Großkunden. Nun scheint es jedoch in die andere Richtung zu gehen. Zunächst einmal vermeldeten verschiedene Branchen-Magazine (Kmib.co.kr und DigiTimes),... [mehr]


  • Samsung Foundry Update: 2 nm ab 2025 und 1,4 nm ab 2027

    Im Rahmen des Samsung Foundry Forum (SFF) 2023 hat der südkoreanische Hersteller ein Update seines Fahrplans für die zukünftigen Fertigungsprozesse gegeben. Offenbar will man seinem taiwanischen Konkurrenten TSMC wieder größere Marktanteile bei den AI-Chips abknöpfen. NVIDIA lässt seine A100- und H100-Beschleuniger bei TSMC fertigen und auch der Gaudi 2 der HabanaLabs sowie fast sämtliche ARM-basierten Prozessoren werden aktuell bei TSMC... [mehr]


  • Sicherung der Lieferkette: Fotolackhersteller in Japan wird verstaatlicht

    Nicht erst mit Beginn des Angriffskrieges Russlands gegen die Ukraine oder der Corona-Pandemie ist sich vielen Unternehmen und Privatleuten bewusst geworden, wie fragil unsere Lieferketten sind. Dem entgegenzuwirken, haben sich viele Staaten und Unternehmen auf die Fahnen geschrieben, doch während die Unternehmen hier meist deutlich flexibler in ihrer Umsetzung dessen sind, dauert es bei Staatlichen Unternehmungen meist etwas länger. In Japan... [mehr]


  • IDM 2.0: Intel wird selbst zum eigenen Kunden

    Über Jahre, gar Jahrzehnte war Intel ein führender Halbleiterhersteller und in vielen Bereichen ist man dies auch nachwievor. Aber die Spitzenposition im Rennen um immer kleinere und effizientere Chip-Designs musste man in den vergangenen Jahren an den Konkurrenten TSMC abgeben. Dabei hat das selbstgewählte IDM-Konstrukt (Integrated Device Manufacturer) einige Vorteile zu bieten. Theoretisch kann Intel die Fertigung deutlich enger mit den... [mehr]


  • Lam Research: Wafer-Schutzschicht für bessere Ausbeute

    Als führender Anbieter von verfahrenstechnischen Anlagen in der Halbleiterindustrie hat Lam Research ein neues Verfahren vorgestellt, welches für eine höhere Ausbeute im Prozess der Wafer-Verarbeitung sorgen soll. Da es in der Fertigung moderner Chips zu einem immer größeren Anteil an Verarbeitungsschritten und teilweise Wafer-on-Wafer-Technologien kommt, wird ein Schutz der Wafer zunehmend wichtig. Die Kante eines Wafers ist eine... [mehr]


  • Montage- und Testeinrichtung: Intel investiert 4,6 Milliarden in Polen

    Bereits mit der Ankündigung einer neuen Fabrik bei Magdeburg nannte Intel weitere Milliarden-Investitionen in einigen europäischen Ländern. Unter anderem soll eine Entwicklungsabteilungen in Polen um 50 % vergrößert werden. Hier sind bereits etwa 4.000 Mitarbeiter aktiv. Nun kündigt das Unternehmen eine weitaus größere Investition an. Bei Wrocław in Polen soll eine Einrichtung für das Packaging und Testen entstehen. Insgesamt sollen... [mehr]


  • Advanced Backend Fab 6: TSMC kann mehr als 1 Million Wafer pro Jahr verarbeiten

    Gestern hat TSMC die offizielle Eröffnung seiner Advanced Backend Fab 6 verkündet. Damit trifft TSMC ziemlich gut den aktuellen Bedarf nach Packaging-Kapazitäten, den vor allem NVIDIA aktuell vorantreibt. Mit den drei Ausbaustufen AP6A, AP6B und AP6C entsteht mehr als die doppelte Kapazität dessen, was aktuell in der Advanced Backend Fab 1 möglich war. Auf 143.000 m² beläuft sich die Fläche der AP6-Anlage im Zhunan Science Park,... [mehr]


  • Intel 18A- und 20A-Prozess: Entwicklung soll abgeschlossen sein

    Für die nächsten beiden Fertigungsschritte Intel 4 und Intel 3 wird Intel noch klassische FinFETs und eine Heranführung der Signal- und Power-Layer von einer Seite verwenden. Intel 20A und Intel 18A sind die nächsten beiden großen Schritte, die nun laut Intels China-Präsident Wang Rui zumindest in der Entwicklung abgeschlossen sein sollen. Dies berichtet United Daily News in China. Dies bedeutet noch nicht, dass Intel bereit dafür ist,... [mehr]


  • Intel will mehr Geld: Kommt die Deutsche Fab noch? (Update)

    In den vergangenen Wochen häuften sich die Berichte zu Verzögerungen des Baustarts der Mega-Fab von Intel bei Magdeburg. Von der Euphorie aus dem Frühjahr 2022 ist nur noch wenig übrig. Der Bund will das Projekt mit 6,8 Milliarden US-Dollar fördern, doch die wirtschaftliche Lage trübte sich seither ein und dies ging auch an den Plänen von Intel nicht vorüber. Ende 2022 und Anfang 2023 mehrten sich die Berichte zur Unklarheiten der Höhe der... [mehr]


  • 40 Milliarden US-Dollar: TSMC baut zweite Fabrik in den USA

    TSMC hat heute verkündet, dass man neben einer Fabrik im US-Bundesstaat Arizona auch noch eine zweite bauen wird. Die erste soll ab 2024 Chips im N4-Prozess herstellen, die zweite dann ab 2026 solche im N3-Prozess. Beide Fabriken zusammengenommen werden 40 Milliarden US-Dollar kosten und zu einem Teil durch den US-Chips-Act finanziert. 4.500 Arbeitsplätze werden in den beiden Fabriken direkt entstehen, insgesamt etwa 10.000, da sich natürlich... [mehr]


  • Intel auf dem IEDM 2022: Ausblick auf zukünftige Packaging- und Fertigunstechniken

    Auf dem IEEE IEDM (International Electron Devices Meeting) wird Intel gleich mehrere Forschungspapiere vorstellen. Einige Details dazu hat man nun bereits im Vorfeld veröffentlicht, denn das eigentliche Meeting findet erst in der kommenden Woche statt. Intel befindet sich aktuell in einer großen Umstrukturierungsphase hinsichtlich seiner Fertigungstechnologien. Aktuelle Produkte werden in Intel 7 gefertigt, was eine 10-nm-Fertigung in etlichen... [mehr]


  • Defektrate von 40 Prozent: Russland bekommt kaum mehr funktionierende Chips

    Russland ist aufgrund der diversen Embargos aktuell vom Halbleitermarkt weitestgehend abgeschnitten und hat keine eigenen, modernen Fertigungskapazitäten. Der kürzlich kunstvoll abgelichtete Baikal BE-S1000 könnte auf absehbare Zeit der letzte seiner Art sein. Wie The Register sich auf das russische Magazin Коммерсантъ (Kommersant) berufend berichtet, hat Russland aktuell mit hohen Ausschussware aus China zu kämpfen. Die... [mehr]


  • MediaTek ist Intels erster großer Foundry-Kunde

    Wie Intel soeben verkündet hat, ist man eine strategische Partnerschaft mit MediaTek eingegangen. In dieser wird vereinbart, dass MediaTek Chips als Teil der Intel Foundry Services (IFS) beim Chipgiganten fertigen lassen und dabei die modernsten Ferigungsverfahren ("advanced process technologies") nutzen wird. Während Intel einen großen Kunden für seine IFS gewinnt, sieht sich MediaTek mit dem neuen Partner im Hinblick auf die... [mehr]


  • Kopie von TSMC N7: SMIC fertigt in China Chips in 7 nm

    Laut einer Analyse von TechInsights, hat SMIC (Semiconductor Manufacturing International Corporation), Chinas führender Halbleiterhersteller, bereits im vergangenen Jahr die ersten Chips aus der 7-nm-Fertigung ausgeliefert. Dies sorgt in der Branche für einiges an Aufsehen, denn bisher wurde nicht davon ausgegangen, dass China in diesem Bereich bereits auf Niveau der großen "westlichen" Auftragsfertiger ist. Offen damit... [mehr]


  • GlobalWafers baut Werk für 1,2 Millionen Wafer pro Monat in Texas

    Intel, Samsung, TSMC – alle großen Halbleiterhersteller bauen ihre Kapazitäten derzeit massiv aus. TSMC erweitert seine bestehenden Fabriken an den verschiedenen Standorten in Taiwan, Samsung in Südkorea und Intel hat eine Erweiterung in Irland sowie im US-Bundesstaat Oregon angekündigt. Daneben sollen in Deutschland (Magdeburg) und im Bundesstaat Ohio zwei Mega-Fabs entstehen. Doch alleine mit den Produktionsstätten in denen die Wafer... [mehr]


  • Intel verschiebt Grundsteinlegung seiner Ohio-Megafab

    Intel möchte in der Halbleiterfertigung im gigantischen Maßstab expandieren. Neben einer Mega-Fab ein Europa, die in der Nähe von Magdeburg entstehen soll, sowie einer Erweiterung der bestehenden Fertigung in Nordirland, ist der Bau einer weiteren Mega-Fab in Ohio geplant. Der Umfang dieser Projekte liegt bei 17 Milliarden Euro für Magdeburg und 20 Milliarden US-Dollar für die zwei Werke im Bundesstaat Ohio. Solche Großprojekte gehen mit einer... [mehr]


  • TSMC: Fünf Fertigungsstufen in 3 nm und FinFlex für mehr Flexibilität

    Auf dem Technology Symposium 2022 hat TSMC seine Roadmap für die Fertigung in den kommenden drei Jahren offengelegt. Für diese wird der Grundbaustein die Fertigung in 3 nm sein. Um auf die Anforderungen der Kunden besser eingehen zu können und die Wegstrecke bis zur Nutzung von Nanosheets überbrücken zu können, wird es neben N3 noch vier weitere Fertigungsschritte in der 3-nm-Klasse geben. Eine moderne Fertigung wird immer komplexer... [mehr]


  • GAA, CFET: Wie Intel Moores Law mit gestapelten Transistoren fortsetzen will

    Auf dem VLSI Symposium hat Intel weitere Details zur Nutzung gestapelter und gefalteter Transistoren veröffentlicht. Ab Intel 20A und somit ab etwa 2024/25 steht ein fundamentaler Wechsel in der Art und Weise des Aufbaus der Transistoren an. Alle großen Fertiger arbeiten an GAA-Transistoren (Gate-All-Arround), die in verschiedenen Ausführungen umgesetzt werden und die FinFETs ablösen sollen. Intel nennt sie RibbonFET und tatsächlich... [mehr]


  • VLSI 2022: Intel erläutert Vorteile der Fertigung in Intel 4

    Auf dem 2022 IEEE VLSI Symposium hat Intel einen Vortrag zur Fertigung in Intel 4, dem 4-nm-Prozess, gehalten. Intel 4 wird für zahlreiche kommenden Prozessoren zum Einsatz kommen, allen voran Meteor Lake. Der Nachfolger Intel 3 wird designkompatibel zu Intel 4 sein, so dass für Intel 4 vorgesehene Produkte auch auf Intel 3 umgezogen werden können. Für Granite Rapids und Sierra Forrest wird Intel genau dies tun, denn zumindest Granite... [mehr]


  • Bund fördert Intels Magdeburg-Fab mit 6,8 Milliarden Euro

    Für Deutschland und Europa sind Intels Investitionen in neue und zusätzliche Fertigungskapazitäten sowie neue Entwicklungszentren wichtig. Es gilt unabhängiger zu werden, ob dies am Ende aber auch eine echte Unabhängigkeit bedeuten wird, steht wieder auf einem anderen Blatt. 17 Milliarden Euro will Intel in eine Mega-Fab in Magdeburg investieren und betonte in diesem Zusammenhang mehrfach, dass man diesen Betrag nicht alleine wird tragen... [mehr]


  • Imec zeichnet die Ångström-Ära und deren Herausforderungen

    Auf der Future Sumnmits 2022 sprach der CEO des Imec (Interuniversity Microelectronics Centre) Luc Van den hove über die Herausforderungen und möglichen Lösungen der Fertigung für Strukturbreiten von 1 nm und weniger – allgemein als Ångström-Ära bezeichnet. Dieser Begriff wird nicht nur von Intel in Form der Bezeichnungen Intel 20A und Intel 18A verwendet, sondern dürfte in den kommenden Jahren von vielen Herstellern für die entsprechenden... [mehr]


  • NVIDIA fasst auch Intel als Foundry-Partner ins Auge

    Nach der zweistündigen Keynote der GTC 22, auf der unter anderem die Hopper-Architektur für kommende Datacenter-Chips vorgestellt wurde, beantwortete NVIDIA in einer Fragerunde noch einige Fragen der Journalisten. Dabei kam das Thema Fertigung zur Sprache und wie NVIDIA mit der Zusammenarbeit mit Intel und AMD umgeht. Die Kollegen von TomsHardware haben die Fragerunde in Teilen transkribiert. Offenbar befindet sich NVIDIA in Gesprächen mit... [mehr]


  • EU-Mega-Fab: Intel wird 17 Milliarden US-Dollar bei Magdeburg investieren

    Wie gestern angekündigt hat Intel heute näheres über seine Investitionen in der europäischen Union veröffentlicht. Zentraler Baustein in der Strategie ist eine Halbleiterfabrik, die wie zuvor vermutet, in Magdeburg entstehen soll. In einer ersten Phase will Intel hier 17 Milliarden Euro in gleich zwei Halbleiterwerke investieren. Ein Teil des Betrages soll aber sicherlich auch über direkte und indirekte Subventionen zustande kommen. In der... [mehr]


  • Intel äußert sich am 15. März zu Investitionen in der EU

    Bereits häufiger wurde über die womöglich geplanten Standorte für eine neue Mega-Fab in der EU berichtet und auch einige Termine für die Ankündigung gab es bereits. Nun ist zumindest letztgenannter Umstand bekannt, denn Intel wird am 15. März um 14:00 Uhr unserer Zeit über die geplanten Investitionen in der Europäischen Union berichten. Die Ankündigung soll "Investitionen sowohl in den Ausbau von Forschungs- und... [mehr]


  • Falcon Shores vereint x86- und Xe-Architektur - weitere Details zur Fertigungs-Roadmap

    Neben der parallelen Entwicklung von x86-Architekturen, GPU-Architekturen und Custom-Designs (Blockchain und komplette Custom-Designs) plant Intel ab 2024 mit den XPUs "Falcon Shores" AI-Supercompute-Lösungen, die eine Kombination aus x86- und Xe-Design sein sollen. Das Verhältnis aus x86- Kernen und Xe-Engines soll abhängig von der Anwendung flexibel zu gestalten sein. Intel wirft hier zudem die neusten Fertigungs- und... [mehr]


  • Intel übernimmt Tower Semiconductor für 5,4 Milliarden US-Dollar

    Nach einigen Gerüchten dazu hat Intel nun den israelischen Halbleiterhersteller Tower Semiconductor übernommen. Der Kaufpreis wurde mit 5,4 Milliarden US-Dollar beziffert. Tower Semiconductor ist ein Foundry-Anbieter, der externen Kunden die Fertigung von Halbleitern in verschiedenen Fertigungsgrößen anbietet. Es gibt bereits eine Zusammenarbeit und sogar ein Joint Venture zwischen Intel und Tower Semiconductor. Unter... [mehr]


  • Intel legt Fond mit einer Milliarde US-Dollar zur Chiplet-Entwicklung auf

    Intel bzw. die Intel-Töchter Intel Capital und Intel Foundry Services (IFS) haben heute die Einführung eines eine Milliarde US-Dollar schweren Fonds angekündigt, der Start-Ups und andere Unternehmen bei der Entwicklung neuer modularer Designs und Chips unterstützen soll. Ziel ist es Entwicklungen voranzutreiben, die als Bestandteil der Open Chiplet Platform nicht nur auf ARM- oder x86-Kerne verwenden, sondern die einen... [mehr]


  • Bestätigt: Intel baut Fab für 20 Milliarden US-Dollar in Ohio

    Für heute Abend hat Intel zu einem Live-Webcast eingeladen, in dem über die Pläne und Investitionen im Bereich der Fertigung gesprochen werden soll. Das Time Magazin hat diese Pläne in einem Exklusivbericht nun ausführlich erläutert. Wie bereits im Vorfeld vermutet, wird eine neue Mega-Fab in New Albany, nahe Columbus im US-Bundesstaat Ohio geben. Auf dem etwa 2 x 2 km großen Gelände sollen nach der Fertigstellung im Jahre 2025 3.000... [mehr]


  • Substrate zur PCB- und Package-Herstellung bis 2027 knapp

    Neue Einschätzungen legen einen Mangel spezieller Produkte nahe, die für die Fertigung jeglicher Elektronik unabdingbar sind und noch bis 2027 knapp sein werden. Knappe Kapazitäten in der Fertigung der eigentlichen Halbleiterchips sind und bleiben der Hauptgrund, warum bestimmte Baugruppe und Produkte aktuell nur schwer oder gar nicht verfügbar sind. Aber oft scheitert es an einem einzigen kleinen Bauteil und das Produkt kann nicht... [mehr]


  • TSMC stellt N4X-Fertigung für High-Performance-Chips vor

    Mit einem N4X getauften Prozess erweitert der Taiwanesische Auftragsfertiger TSMC sein Portfolio um ein weiteres Angebot. N4X soll vor allem für High-Performance-Chips ausgelegt sein, wo die Effizienz nicht der allererste Fokus ist. Dabei soll N4X nur die erste Fertigung einer neuen Serie sein, die eben auf HPC-Chips fokussiert wird. Das "X" wird zukünftig entsprechende Fertigungsverfahren identifizierbar machen. N4X ist dabei Teil der Serie... [mehr]


  • Intel-CEO Pat Gelsinger: Erst aggressiv, dann auf Kuschelkurs

    In den vergangenen Tagen haben sich die Zwänge und Abhängigkeiten Intels hinsichtlich der Fertigung offensichtlicher denn je gezeigt. Einerseits ist man seit Monaten auf der Suche nach neuen Standorten für seine Halbleiterfabriken und scheut sich dabei auch nicht davor Milliardensummen an Investitionen zu fordern, andererseits greift man aber auch seinen größten Konkurrenten TSMC an, nur um kurz darauf wieder dessen Wichtigkeit und die enge... [mehr]


  • Platz- und stromsparend: IBM und Samsung entwickeln den VTFET

    Zur International Electron Devices Meeting (IEDM) stellen IBM und Samsung ein Ergebnis der gemeinsamen Forschung vor. Dabei handelt es sich um eine neue Form der Transistoren – keine GAA-Transistoren wie sie aktuell fast jeder Halbleiterhersteller entwickelt, unter anderem auch IBM und Samsung, sondern die klassischen FinFETs werden anders angeordnet. Der FinFET ist so gebaut, dass dieser flach auf der Oberfläche eines Halbleiters... [mehr]


  • IEDM 2021: Intel über Forschung, Fertigung in 2025 und darüber hinaus

    Im Sommer präsentierte Intel nicht nur seine IDM-2.0-Strategie und benannte seine Fertigungsgrößen um, sondern gab außerdem einen Ausblick auf das, was uns ab 2024/25 erwarten wird. Neue Entwicklungen im Bereich der Materialforschung bilden meist die Basis für all das, was dann im einzelnen Transistor, über gestapelte Transistoren, im Aufbau des CMOS-Designs bis hin zum Packaging zum Einsatz kommt. Auf dem International Electron Devices... [mehr]